Pulse generation with variable frequency

12 visualizaciones (últimos 30 días)
Payam Morsali
Payam Morsali el 13 de Dic. de 2022
Comentada: Nandhini el 19 de Sept. de 2023
Hi everyone
I am trying to implement a pulse generator that generates pulses with variable frequency; let's say teh frequency is varying from 90kHz to 110 kHz; the pulse generation block only has fixed frequency.
Also, it is important to maintain the duty cycle 50%, which means giving 90to110 kHz to a sine block and comparing it to zero would not work (as far as I know by trying it)>
I would appreciate if anyone has any idea on this matter.

Respuestas (1)

Mathieu NOE
Mathieu NOE el 14 de Dic. de 2022
hello
it's a matter of how you implement the idea
here one solution
the attached model is a fixed step euler simulation
you have to initialize dt = 1e-7 in your workspace first
for the demo I have amplified the variable amount of the frequency
the graph below shows frequency, angle and output signal (as expected)
  4 comentarios
Mathieu NOE
Mathieu NOE el 12 de Mayo de 2023
I am not sure to understand how you can have random pulse with fixed constant frequency
Nandhini
Nandhini el 19 de Sept. de 2023
Need to main the duty ratio.. it may be +/- 1/3 of the desired frequency.. still i am trying to produce a pulse signal like that.

Iniciar sesión para comentar.

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by