errors with HDL verification # very with HDL test bench

1 visualización (últimos 30 días)
satish kumar
satish kumar el 14 de Oct. de 2020
Respondida: Kiran Kintali el 15 de Oct. de 2020

Respuestas (1)

Kiran Kintali
Kiran Kintali el 15 de Oct. de 2020
What is the release you are currently running into this error?
It looks like you are running into a HDL code generation issue. Will you be able to provide reproduction steps (a sample design, testbench and the project file)? We would like to reproduce this issue on our end and provide a workaround if possible.
kiran.kintali@mathworks.com

Categorías

Más información sobre Code Generation en Help Center y File Exchange.

Productos


Versión

R2020a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by