Community Profile

photo

Conglin Pan


Last seen: alrededor de 2 años hace Con actividad desde 2022

Followers: 0   Following: 0

Estadísticas

Feeds

Ver por

Pregunta


Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Veril...

alrededor de 2 años hace | 1 respuesta | 0

1

respuesta