Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?

2 visualizaciones (últimos 30 días)
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Verilog HDL-2001 version?

Respuestas (1)

Bharath Venkataraman
Bharath Venkataraman el 15 de Mzo. de 2022
HDL Coder generates HDL code compliant with Verilog-2001.

Etiquetas

Productos


Versión

R2021b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by